ZHANG Ming, GU Zonghua, PAN Gang, “A Survey of Neuromorphic Computing Based on Spiking Neural Networks,” Chinese Journal of Electronics, vol. 27, no. 4, pp. 667-674, 2018, doi: 10.1049/cje.2018.05.006
Citation: ZHANG Ming, GU Zonghua, PAN Gang, “A Survey of Neuromorphic Computing Based on Spiking Neural Networks,” Chinese Journal of Electronics, vol. 27, no. 4, pp. 667-674, 2018, doi: 10.1049/cje.2018.05.006

A Survey of Neuromorphic Computing Based on Spiking Neural Networks

doi: 10.1049/cje.2018.05.006
Funds:  This work is supported by National Key Basic Research and Development Program of China (No.2017YFB1002503) and the National Natural Science Foundation of China (No.61672454).
More Information
  • Corresponding author: GU Zonghua (corresponding author) received the Ph.D. degree in computer science and engineering from the University of Michigan at Ann Arbor in 2004. He is currently an associate professor in the College of Computer Science, Zhejiang University. (Email:zonghua@gmail.com)
  • Received Date: 2017-03-14
  • Rev Recd Date: 2017-07-04
  • Publish Date: 2018-07-10
  • Neuromorphic computing aims to build digital or analog computer systems that emulate or simulate the biological brain, in order to achieve high performance and low power consumption for intelligent information processing applications. This article reviews on neuromorphic computing based on Spiking neural networks (SNNs), including its history of development, common neuron models, major research projects, neuromorphic sensors, and applications in brain-computer Interfaces.
  • loading
  • H. Esmaeilzadeh, E. Blem, R.S. Amant, et al., "Power challenges may end the multicore era", Commun. ACM, Vol.56, No.2, pp.93-102, 2013.
    R. Colwell, "The chip design game at the end of Moores law", IEEE Hot Chips 25 Symposium (HCS), pp.1-16, 1990.
    K. Grace, "Brain performance in TEPS", http://aiimpacts.org/brain-performance-in-teps/, 2015-5-6.
    Sze V, Chen Y H, Yang T J, et al., "Efficient processing of deep neural networks:A tutorial and survey", arXiv preprint arXiv:1703.09039, https://arxiv.org/abs/1703.09039, 2017.
    Z. Wu, Y. Zhou, Z. Shi, et al., "Cyborg intelligence:Recent progresses and future directions", IEEE Intelligent Systems, Vol.31, No.6, pp.44-50, 2016.
    Y. Wang, M. Lu, Z. Wu, et al., "Visual cue-guided rat cyborg for automatic navigation", IEEE Computational Intelligence Magazine, Vol.10, No.2, pp.42-52, 2015.
    D. Ma, J. Shen, Z. Gu, et al., "Darwin:A neuromorphic hardware co-processor based on spiking neural networks", Journal of Systems Architecture-Embedded Systems Design, Vol.77, pp.43-51, 2017.
    A. Andreopoulos, B. Taba, A.S. Cassidy, et al., "Visual saliency on networks of neurosynaptic cores", IBM J. Res. Dev., Vol.59, No.2/3, pp.1-9, 2015.
    E.M. Izhikevich, "Which model to use for cortical spiking neurons?", IEEE Trans. Neural Networks, Vol.15, No.5, pp.1063-1070, 2004.
    P. Dayan and L.F. Abbott, Theoretical Neuroscience, MIT Press, Cambridge, MA, USA, 2001.
    T. Masquelier, R. Guyonneau and S.J. Thorpe, "Spike timing dependent plasticity finds the start of repeating patterns in continuous spike trains", PLoS One, Vol.3, No.1, doi:10.1371/journal.pone.0001377, 2008.
    R. Brette and W. Gerstner, "Adaptive exponential integrateand-fire model as an effective description of neuronal activity", J. Neurophysiol., Vol.94, No.5, pp.3637-3642, 2005.
    T. Dettmers, "The Brain vs Deep Learning", http://timdettmers.com/2015/07/27/brain-vs-deep-learningsingularity/, 2015-7-27.
    S.M. Bohte, J.N. Kok and H. La Poutré, "SpikeProp:Backpropagation for networks of spiking neurons", Proc. of 8th European Symposium on Artificial Neural Networks, pp.419-425, 2000.
    R. Gütig and H. Sompolinsky, "The tempotron:A neuron that learns spike timing-based decisions", Nat. Neurosci., Vol.9, No.3, pp.420-428, 2006.
    F. Ponulak and A. Kasiński, "Supervised learning in spiking neural networks with ReSuMe:Sequence learning, classification, and spike shifting", Neural Comput., Vol.22, No.2, pp.467-510, 2010.
    R.V. Florian, "The chronotron:A neuron that learns to fire temporally precise spike patterns", PLoS One, Vol.7, No.8, doi:10.1371/journal.pone.0040233, 2012.
    A. Mohemmed, S. Schliebs, S. Matsuda, et al., "Span:Spike pattern association neuron for learning spatio-temporal spike patterns", Int. J. Neural Syst., Vol.22, No.04, Artical ID 1250012, 17 pages, 2012.
    Q. Yu, H. Tang, K.C. Tan, et al., "Precise-spike-driven synaptic plasticity:Learning hetero-association of spatiotemporal spike patterns", PLoS One, Vol.8, No.11, doi:10.1371/journal.pone.0078318, 2013.
    P.U. Diehl, D. Neil, J. Binas, et al., "Fast-classifying, highaccuracy spiking deep networks through weight and threshold balancing", International Joint Conference on Neural Networks (IJCNN), pp.1-8, 2015.
    Y. Cao, Y. Chen and D. Khosla, "Spiking deep convolutional neural networks for energy-efficient object recognition", Int. J. Comput. Vis., Vol.113, No.1, pp.54-66, 2015.
    D. Neil and S.-C. Liu, "Minitaur, an event-driven FPGA-based spiking network accelerator", IEEE Trans. Very Large Scale Integr. Syst., Vol.22, No.12, pp.2621-2628, 2014.
    W. Maass, T. Natschläger and H. Markram, "Real-time computing without stable states:A new framework for neural computation based on perturbations", Neural Comput., Vol.14, No.11, pp.2531-2560, 2002.
    S.B. Furber, F. Galluppi, S. Temple, et al., "The spinnaker project", Proc. IEEE, Vol.102, No.5, pp.652-665, 2014.
    P.A. Merolla, J.V. Arthur, R. Alvarez-Icaza, et al., "A million spiking-neuron integrated circuit with a scalable communication network and interface", Science, Vol.345, No.6197, pp.668-673, 2014.
    J.M. Cruz-Albrecht, T. Derosier and N. Srinivasa, "A scalable neural chip with synaptic electronics using CMOS integrated memristors", Nanotechnology, Vol.24, No.38, Artical ID 384011, 11 pages, 2013.
    J. Schemmel, D. Briiderle, A. Griibl, et al., "A wafer-scale neuromorphic hardware system for large-scale neural modeling", Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1947-1950, 2010.
    B.V. Benjamin, P. Gao, E. McQuinn, et al., "Neurogrid:A mixed-analog-digital multichip system for large-scale neural simulations", Proc. IEEE, Vol.102, No.5, pp.699-716, 2014.
    S. Moradi and G. Indiveri, "An event-based neural network architecture with an asynchronous programmable synaptic memory", IEEE Trans. Biomed. Circuits Syst., Vol.8, No.1, pp.98-107, 2014.
    S.W. Moore, P.J. Fox, S.J.T. Marsh, et al., "Bluehive-A fieldprogramable custom computing machine for extreme-scale realtime neural network simulation", IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp.133-140, 2012.
    S. Pande, F. Morgan, G. Smit, et al., "Fixed latency on-chip interconnect for hardware spiking neural network architectures", Parallel Comput., Vol.39, No.9, pp.357-371, 2013.
    J. Park, S. Ha, T. Yu, et al., "A 65k-neuron 73-Mevents/s 22-pJ/event asynchronous micro-pipelined integrate-and-fire array transceiver", IEEE Biomedical Circuits and Systems Conference (BioCAS), pp.675-678, 2014.
    L. Shi, J. Pei, N. Deng, et al., "Development of a neuromorphic computing system", IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, pp.4.3.1-4.3.4, 2015.
    J. Shen, D. Ma, Z. Gu, et al., "Darwin:A neuromorphic hardware co-processor based on spiking neural networks", Science China Information Sciences, Vol.59, No.2, pp.1-5, 2016.
    D. Ma, J. Shen, Z. Gu, et al., "Darwin:A neuromorphic hardware co-processor based on spiking neural networks", Journal of Systems Architecture, Vol.77, pp.43-51, 2017.
    D. Seo, J.M. Carmena, J.M. Rabaey, et al., "Neural dust:An ultrasonic, low power solution for chronic brain-machine interfaces", arXiv preprint arXiv:1307.2196, 2013.
    R.J. Vogelstein, F.V.G. Tenore, L. Guevremont, et al., "A silicon central pattern generator controls locomotion in vivo", IEEE Trans. Biomed. Circuits Syst., Vol.2, No.3, pp.212-222, 2008.
    J. Dethier, P. Nuyujukian, S.I. Ryu, et al., "Design and validation of a real-time spiking-neural-network decoder for brainmachine interfaces", J. Neural Eng., Vol.10, No.3, Artical ID 036008, 25 pages, 2013.
    C. Eliasmith and C.H. Anderson, Neural Engineering:Computation, Representation, and Dynamics in Neurobiological Systems, MIT Press, London, England, 2004.
    V.S. Ghaderi, D. Song, J. Choma, et al., "Nonlinear cognitive signal processing in ultralow-power programmable analog hardware", IEEE Trans. Circuits Syst. Ⅱ Express Briefs, Vol.62, No.2, pp.124-128, 2015.
    S.-C. Liu and T. Delbruck, "Neuromorphic sensory systems", Curr. Opin. Neurobiol., Vol.20, No.3, pp.288-295, 2010.
    C. Farabet, B. Martini, B. Corda, et al., "Neuflow:A runtime reconfigurable dataflow processor for vision", IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), pp.109-116, 2011.
    Du Z, Liu S, Fasthuber R, et al., "An accelerator for high efficient vision processing". IEEE Transactions on ComputerAided Design of Integrated Circuits and Systems, Vol.36, No.2, pp.227-240, 2017.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (1014) PDF downloads(700) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return