LI Jianwei, DONG Gang, WANG Zeng, et al., “Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations,” Chinese Journal of Electronics, vol. 24, no. 1, pp. 83-87, 2015,
Citation: LI Jianwei, DONG Gang, WANG Zeng, et al., “Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations,” Chinese Journal of Electronics, vol. 24, no. 1, pp. 83-87, 2015,

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Funds:  This work is supported by the Opening Project of State Key Laboratory of Computer Architecture (No.CARCH201109), the National Natural Science Foundation of China (No.60606006), and the Key Discipline Project in Shaanxi Province (No. 107000090803).
  • Received Date: 2013-04-01
  • Rev Recd Date: 2013-06-01
  • Publish Date: 2015-01-10
  • When operating frequency is over several gigahertz, the effect of inductance plays an important role and should be included for accurate and speed crosstalk noise analysis. And for new generation IC (Integrated circuit) design tools, the crosstalk noise analysis tools should consider the influence of process variations. In this paper, we propose coupled RLC crosstalk noise distributed parameter model with capacitive load termination. And we develop the framework that how to use the crosstalk noise model for process variations. Our results show that compare with HSPICE, the critical data errors of proposed model are within 1% and the relative errors occurred between calculated values for process variations and HSPICE Monte Carlo simulation values are less than 5%. The key features of the new model include: (1) The impact of inductance on crosstalk noise is considered; (2) The model can be used for process variations analysis; (3) The model reflect the effects of load capacitance directly; (4) The numerical inversion of Laplace transform is introduced for improving speed. So the proposed model meets the needs of future IC design both in speed and accuracy.
  • loading
  • International technology roadmap for semiconductors (ITRS)., "Interconnect", http://www.itrs.net/Links/2011ITRS /2011Chapters/2011Interconnect.pdf, 2012.
    A. Atghiaee and N. Masoumi, "A predictive and accurate interconnect density function: The core of a novel interconnectcentric prediction engine", IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol.19, No.9, pp.1704-1717, 2011.
    K. Agarwal, D. Sylvester and D. Blaauw, "Modeling and analysis of crosstalk noise in coupled RLC interconnects", IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., Vol.25, No.5, pp.892-901, 2006.
    A.G. Bouazza and B. Bouazza, "Crosstalk noise and signal propagation delay analysis in submicron CMOS integrated circuits", Proc. of Sciences of Electronics, Technologies of Information and Telecommunications (SETIT), Sousse, Tunisia, pp.155-160, 2012.
    P. Livshits and S. Sofer, "Aggravated electromigration of copper interconnection lines in ULSI devices due to crosstalk noise", IEEE Trans. Device and Materials Reliability, Vol.12, No.2, pp.341-346, 2012.
    J.A. Davis and J.D. Meindl, "Compact distributed RLC interconnect models—part I: Single line transient, time delay, and overshoot expressions", IEEE Trans. Electron Devices, Vol.47, No.11, pp.2068-2077, 2000.
    International technology roadmap for semiconductors (ITRS)., "Modeling and simulation", http://www.itrs.net/ Links/2011ITRS/2011Chapters/2011Modeling.pdf, 2012.
    P. Ke, M. Yilmaz, K. Chakrabarty and M. Tehranipoor, "Crosstalk-and process variations-aware high-quality tests for small-delay defects", IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol.21, No.6, pp.1129-1142, 2013.
    K. Agarwal, M. Agarwal, D. Sylvester and D. Blaauw, "Statistical interconnect metrics for physical-design optimization", IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., Vol.25, No.7, pp.1273-1288, 2006.
    Z. Wang, G. Dong, Y.T. Yang and J.W. Li, "Crosstalk noise voltage of coupling RC interconnects with temperature distribution", Chinese Journal of Electronics, Vol.19, No.1, pp.43-47, 2010.
    J.A. Davis and J.D. Meindl, "Compact distributed RLC interconnect models—part II: Coupled line transient expressions and peak crosstalk in multilevel networks", IEEE Trans. Electron Devices, Vol.47, No.11, pp.2078-2087, 2000.
    R. Venkatesan, J.A. Davis and J.D. Meindl, "Compact distributed RLC interconnect models—part III: Transients in single and coupled lines with capacitive load termination", IEEE Trans. Electron Devices, Vol.50, No.4, pp.1081-1093, 2003.
    R. Venkatesan, J.A. Davis and J.D. Meindl, "Compact distributed RLC interconnect models—part IV: Unified models for time delay, crosstalk, and repeater insertion", IEEE Trans. Electron Devices, Vol.50, No.4, pp.1094-1102, 2003.
    S.C. Wong, G.Y. Lee and D.J. Ma, "Modeling of interconnect capacitance, delay, and crosstalk in VLSI", IEEE Trans. on Semiconductor Manufacturing, Vol.13, No.1, pp.108-111, 2000.
    X.N. Qi, G.F. Wang, Z.P. Yu, et al., "On-chip inductance modeling and RLC extraction of VLSI interconnects for circuit simulation", Proc. IEEE Custom Integr. Circuits Conf. (CICC 2000), Orlando, USA, pp.487-490, 2000.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (669) PDF downloads(878) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return