WANG Fei, WANG Da, YANG Haigang, et al., “On-Chip Generating FPGA Test Configuration Bitstreams to Reduce Manufacturing Test Time,” Chinese Journal of Electronics, vol. 25, no. 1, pp. 64-70, 2016, doi: 10.1049/cje.2016.01.010
Citation: WANG Fei, WANG Da, YANG Haigang, et al., “On-Chip Generating FPGA Test Configuration Bitstreams to Reduce Manufacturing Test Time,” Chinese Journal of Electronics, vol. 25, no. 1, pp. 64-70, 2016, doi: 10.1049/cje.2016.01.010

On-Chip Generating FPGA Test Configuration Bitstreams to Reduce Manufacturing Test Time

doi: 10.1049/cje.2016.01.010
Funds:  This work is supported by the National Basic Research Program of China (973 Program) (No.2011CB302501), the National High Technology Research and Development Program of China (863 Program) (No.2015AA01A301), the National Science Foundation of China (No.61204047, No.61204045, No.61332009), the Major Project of China (No.2013ZX0102-8001-001-001), and Beijing Natural Science Foundation (No.4143060).
  • Received Date: 2014-02-13
  • Rev Recd Date: 2015-05-25
  • Publish Date: 2016-01-10
  • Statistics shows that over 95% of FPGA manufacturing test time is spent on loading test configuration bitstreams. Reducing the test time that spent on loading test configuration bitstreams could significantly reduce FPGA test time. A new approach which can significantly reduce the FPGA test time is presented. Experimental results show that the proposed technique can at least reduce the configuration loading time by 96%, while getting 100% test coverage with less than 1.2% hardware overhead.
  • loading
  • Xilinx Inc., Xilinx Data Sheet, “7 Series FPGAs Configurable Logic Block”, http://www.xilinx.com, 2011.
    C. Chen, J.W. Zhao, E. Chang and X.Y. Li, “A holistic methodology to address leading edge FPGA manufacturing challenge”, Intl. Conf. Solid-State and Integrated Circuit Technology (ICSICT), 2010.
    A. Doumar and H. Ito, “Detecting, diagnosing, and tolerating faults in SRAM-based field programmable gate arrays: A survey”, IEEE Trans. on Very Large Scale Integration Systems (TVLSI), Vol.11, No.3, pp.386-405, 2003.
    M. Tahoori and S. Mitra, “Test compression for FPGAs”, Intl. Test Conf. (ITC), pp.1-9, 2006.
    M. Abramovici and C. Stroud, “BIST-based test and diagnosis of FPGA logic blocks”, IEEE Trans. on Very Large Scale Integration Systems (TVLSI), Vol.9, No.1, pp.159-172, 2001.
    J. Smith, T. Xia and C. Stroud, “An automated BIST architecture for testing and diagnosing FPGA interconnect faults”, Journal of Electronic Testing, Vol.22, No.3, pp.239-253, 2006.
    S. Dutt, V. Verma and V. Suthar, “Built-in-self-test of FPGAs with provable diagnosabilities and high diagnostic coverage with application to online testing”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol.27, No.2, pp.309-326, 2008.
    Zhiquan Zhang, Zhiping Wen, Lei Chen, et al., “BIST approach for testing configurable logic and memory resources in FPGAs”, IEEE Asia Pacific Conference on Circuits and Systems, pp.1767-1770, 2008.
    M. Tahoori and S. Mitra, “Application-independent testing of FPGA interconnects”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol.24, No.11, pp.1774-1783, 2005.
    M. Rozkovec and O. Novak, “Structural test of programmed FPGA circuits”, Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp.136-139, 2009.
    S. Trimberger, “Effects of FPGA architecture on FPGA routing”, Proc. ACM/IEEE Design Automation Conf., pp.574-578, 1995.
    A. Doumar and H. Ito, “Testing the logic cells and interconnect resources for FPGAs”, Asian Test Symp. (ATS), pp.369-374, 1999.
    S. McCracken and Z. Zilic, “FPGA test time reduction through a novel interconnect testing scheme”, Intl. Symp. on Field Programmable Gate Arrays (FPGA), 2002.
    K. Inoue, M. Koga, et al., “An easily testable routing architecture and prototype chip”, IEICE Transactions, Vol.95-D, No.2, pp.303-313, 2012.
    Yong Fu, Chi Wang, Liguang Chen, et al., “A novel full coverage test method for CLBs in FPGA”, ACM/SIGDA Intl' Symp. on Field Programmable Gate Arrays (FPGA), 2012.
    Yenlin Peng, Dingming Kwai, Yungfa Chou, et al., “Application-independent testing of 3-D field programmable gate array interconnect faults”, IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol.22, No.2, pp.207-219, 2014.
    Xilinx Inc., “Apparatus for testing an interconnecting logic fabric”, Patent, US6996758B1, USA, 1997.
    M. Renovell, P. Faure, et al., “IS-FPGA: A new symmetric FPGA architecture with implicit scan”, Intl. Test Conf. (ITC), pp.924-931, 2001.
    Altera Corp., “Scan chain circuitry for delay fault testing of logic circuits”, Patent, US7949916B1, USA, 2008.
    Xilinx Inc., “Configuration Bus Interface Circuit for FPGAs”, Patent, US6429682, USA, 2002.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (523) PDF downloads(996) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return