Shaheryar Najam, Muhammad Yasir Qadri, Zohaib Najam, et al., “A Fuzzy Logic Based Power-Efficient Run-Time Reconfigurable Multicore System,” Chinese Journal of Electronics, vol. 27, no. 3, pp. 549-555, 2018, doi: 10.1049/cje.2018.02.005
Citation: Shaheryar Najam, Muhammad Yasir Qadri, Zohaib Najam, et al., “A Fuzzy Logic Based Power-Efficient Run-Time Reconfigurable Multicore System,” Chinese Journal of Electronics, vol. 27, no. 3, pp. 549-555, 2018, doi: 10.1049/cje.2018.02.005

A Fuzzy Logic Based Power-Efficient Run-Time Reconfigurable Multicore System

doi: 10.1049/cje.2018.02.005
Funds:  This work is supported by National ICT R&D Fund, Pakistan (No.ICTRDF/TR&D/2012/65).
  • Received Date: 2015-11-27
  • Rev Recd Date: 2017-08-07
  • Publish Date: 2018-05-10
  • Increasing demand for better throughput and performance has motivated designers to come up with more sophisticated processors with innovative designs. Such designs for multicore architectures offer large amount of parallelism which is often underutilized and thus becomes overhead and liability. Due to these advancements, there has been a exponential increase in power consumption and heat dissipation of computing devices. Under these circumstances, an ideal system would be a reconfigurable system that can switch off all underutilized resources and work with only required ones. There is a need of reconfigurable computing devices and processors that are smart enough to configure themselves dynamically on runtime to find balance between throughput and power-consumption. This paper proposes a novel fuzzy logic based Dynamic voltage and frequency scaling (DVFS) and power-gating enabled controller which is capable of reducing power-consumption without affecting throughput and overall performance of the system. The design is implemented on Intel processor using Ubuntu as an operating system. Implementation results show that proposed Fuzzy logic controller (FLC) reduces power-consumption upto 40% by reconfiguring the processor dynamically without compromising the throughput.
  • loading
  • Bircher, William Lloyd, et al., "Predictive power management for multi-core processors", Springer Berlin Heidelberg, pp.243-255, 2012.
    You, Daecheol, et al., "Dynamic voltage and frequency scaling framework for low-power embedded GPUs", Electronics letters, Vol.48, No.21, pp.1333-1334, 2012.
    Mamdani, Ebrahim H, et al., "Application of fuzzy logic to approximate reasoning using linguistic synthesis", Computers, IEEE Transactions, Vol.C-26, No.2, pp.1182-1191, 1997.
    Albertos, Pedro, et al., "Fuzzy logic controllers, advantages and drawbacks", IEEE transactions on control system technology, 1998.
    Dietrich, Benedikt, et al., "LMS-based low-complexity game workload prediction for DVFS", Computer Design (ICCD), IEEE International Conference on, pp.417-424, 2010.
    Anita, Bose, et al., "Dynamic power gating with quality guarantees", Proceedings of the 2009 ACM/IEEE International Symposium on Low Power Electronics and Design, Vol.27, No.4, pp.377-382, 2009.
    Alonso, Pedro, et al., "DVFS-control techniques for dense linear algebra operations on multi-core processors", Computer Science-Research and Development, Vol.27, No.4, pp.289-298, 2012.
    Liang, P. Lai, et al., "An energy conservation DVFS algorithm for the android operating system", Journal of Convergence, Vol.1, No.1, 2010.
    Manousakis, Ioannis, et al., "FDIO:A feedback driven controller for minimizing energy in I/O-intensive applications", Proceedings of the 5th USENIX conference on Hot Topics in Storage and File Systems, pp.16, 2013.
    Wen-Yew Liang, Shih-Chang Chen, et al., "Memory-aware dynamic voltage and frequency prediction for portable devices", Embedded and Real-Time Computing Systems and Applications, 2008. RTCSA '08. 14th IEEE International Conference on, pp.229-236, 2008.
    Leverich, Jacob, et al., "Power management of data center workloads using per-core power gating", Computer Architecture Letters, Vol.8, No.2, pp.1556-6056, 2009.
    Hu, Zhigang, et al., "Micro architectural techniques for power gating of execution units", Proceedings of the 2004 International Symposium on Low Power Electronics and Design, pp.32-37, 2004.
    Pradip, Anita, et al., "Dynamic power gating with quality guarantees", Proceedings of the 2009 ACM/IEEE International Symposium on Low Power Electronics and Design, pp.377-382, 2009.
    M.Y. Qadri, Klaus D. McDonald Maier, et al., "Energy and throughput aware fuzzy logic based reconfiguration for MPSoCs", Journal of Intelligent & Fuzzy Systems:Applications in Engineering and Technology, Vol.26, No.1, pp.101-113, 2014.
    Hanumaiah, Vinay, et al., "Temperature-aware DVFS for hard real-time applications on multicore processors", Computers, IEEE Transactions, Vol.61, No.10, pp.1484-1494, 2012.
    Pedro, Dolz et al., "Saving energy in the LU factorization with partial pivoting on multi-core processors", Parallel, Distributed and Network-Based Processing (PDP), 201220th Euromicro International Conference on, pp.353-358, 2012.
    Kihwan, Dantu, et al., "Frame-based dynamic voltage and frequency scaling for a MPEG decoder", Proceedings of the 2002 IEEE/ACM International Conference on Computer-Aided Design, Vol.27, No.4, pp.732-737, 2002.
    Michael A, Meswani, et al., "Reducing energy usage with memory and computation-aware dynamic frequency scaling", 17th International Conference, Euro-Par, Bordeaux, pp.79-90, 2011.
    Ravi, Sundaram, et al., "An x86-64 core implemented in 32nm SOI CMOS", Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010 IEEE International, pp.106-107, 2010.
    Zhigang, Buyuktosunoglu, et al., "Microarchitectural techniques for power gating of execution units", Proceedings of the 2004 International Symposium on Low Power Electronics and Design, pp.32-37, 2004.
    Ligang, Su, et al., "A new approach to stability analysis and stabilization of discrete-time TS fuzzy time-varying delay systems", Systems, Man, and Cybernetics, Part B:Cybernetics, IEEE Transactions on, Vol.41, No.1, pp.273-286, 2011.
    Jianbin and Feng, et al., "A new design of delay-dependent robust filtering for discrete-time T-S fuzzy systems with timevarying delay", Fuzzy Systems, IEEE Transactions on, Vol.17, No.5, pp.1044-1058, 2009.
    Chen, Toly, "A hybrid fuzzy and neural approach with virtual experts and partial consensus for DRAM price forecasting", International Journal of Innovative Computing, Information and Control, Vol.8, No.1, pp.583-597, 2012.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (398) PDF downloads(182) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return