WEI Shaojun and LU Yan'an, “The Principle and Progress of Dynamically Reconfigurable Computing Technologies,” Chinese Journal of Electronics, vol. 29, no. 4, pp. 595-607, 2020, doi: 10.1049/cje.2020.05.002
Citation: WEI Shaojun and LU Yan'an, “The Principle and Progress of Dynamically Reconfigurable Computing Technologies,” Chinese Journal of Electronics, vol. 29, no. 4, pp. 595-607, 2020, doi: 10.1049/cje.2020.05.002

The Principle and Progress of Dynamically Reconfigurable Computing Technologies

doi: 10.1049/cje.2020.05.002
Funds:  This work is supported by the National Science and Technology Major Project of the Ministry of Science and Technology of China (No.2018ZX01028201), the National Natural Science Foundation of China (No.61672317, No.61834002), and the National Key Research and Development Program of China (No.2018YFB2202101).
  • Received Date: 2020-03-15
  • Rev Recd Date: 2020-04-01
  • Publish Date: 2020-07-10
  • With the emergence of new applications and the increasing cost of new semiconductor manufacturing technology, high energy-efficiency and flexibility are both critical for processors. Dynamically reconfigurable computing architecture, which has the both characteristics, is one of the most promising architectures for future processors. It has shown notable advantages in many important application fields, compared to conventional architectures. However, the fundamental reasons for the characteristics have never been deeply discussed in previous papers. This paper analyzes the reasons from the perspective of design methodology. The technologies of dynamically reconfigurable computing are continually evolving, and some cheering results of application have been achieved. This paper summarizes the latest progress in key technologies and provides an introduction of the application achievements.
  • loading
  • R. Courtland, “The end of the shrink”, IEEE Spectrum, Vol.50, No.11, pp.26-29, 2013.
    T. Nowatzki, V. Gangadhar, K. Sankaralingam, et al., “Pushing the limits of accelerator efficiency while retaining programmability”, IEEE International Symposium on High Performance Computer Architecture, Barcelona, pp.27-39, 2016.
    A. Putnam, A.M. Caulfield, E.S. Chung, et al., “A reconfigurable fabric for accelerating large-scale datacenter services”, IEEE Micro, Vol.35, No.3, pp.10-22, 2015.
    A.M. Caulfield, E.S. Chung, A. Putnam, et al., “A cloudscale acceleration architecture”, 49th Annual IEEE/ACM International Symposium on Microarchitecture, Taipei, pp.1-13, 2016.
    D. Voitsechov, O. Port and Y. Etsion, “Inter-thread communication in multithreaded, reconfigurable coarse-grain arrays”, 51st Annual IEEE/ACM International Symposium on Microarchitecture, Fukuoka, pp.42-54, 2018.
    L. Duch, S. Basu, M. Peón-Quirós, et al., “i-DPs CGRA: An interleaved-datapaths reconfigurable accelerator for embedded biosignal processing”, IEEE Embedded Systems Letters, Vol.11, No.2, pp.50-53, 2019.
    O. Akbari, M. Kamal, A. Afzali-Kusha, et al., “PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture”, Design, Automation and Test in Europe Conference and Exhibition, Dresden, pp.413-418, 2018.
    R. Prabhakar, Y. Zhang, D. Koeplinger, et al., “Plasticine: A reconfigurable architecture for parallel patterns”, ACM/IEEE 44th Annual International Symposium on Computer Architecture, Toronto, ON, pp.389-402, 2017.
    T. Nowatzki, V. Gangadhar, N. Ardalani, et al., “Streamdataflow acceleration”, ACM/IEEE 44th Annual International Symposium on Computer Architecture, Toronto, ON, pp.416-429, 2017.
    Y. Chen, T. Krishna, J. Emer, et al., “Eyeriss: An energyefficient reconfigurable accelerator for deep convolutional neural networks”, IEEE International Solid-State Circuits Conference, San Francisco, CA, pp.262-263, 2016.
    S. Yin, P. Ouyang, S. Tang, et al., “A 1.06-to-5.09 TOPS/W reconfigurable hybrid-neural-network processor for deep learning applications”, Symposium on VLSI Circuits, Kyoto, pp.C26-C27, 2017.
    S.A. Chin, N. Sakamoto, A. Rui, et al., “CGRA-ME: A unified framework for CGRA modelling and exploration”, IEEE 28th International Conference on Application-specific Systems, Architectures and Processors, Seattle, WA, pp.184-189, 2017.
    S. Kim, Y.H. Park, J. Kim, et al., “Flexible video processing platform for 8K UHD TV”, IEEE Hot Chips 27 Symposium, Cupertino, CA, Page 1, 2015.
    L. Liu, D. Wang, M. Zhu, et al., “An energy-efficient coarsegrained reconfigurable processing unit for multiplestandard video decoding”, IEEE Transactions on Multimedia, Vol.17, No.10, pp.1706-1720, 2015.
    N. Shaheryar, Y.Q. Muhammad, N. Zohaib, et al., “A fuzzy logic based power-efficient run-time reconfigurable multicore system”, Chinese Journal of Electronics, Vol.27, No.3, pp.549-555, 2018.
    W. Li, X. Zeng, Z. Dai, et al., “A high energy-efficient reconfigurable VLIW symmetric cryptographic processor with loop buffer structure and chain processing mechanism”, Chinese Journal of Electronics, Vol.26, No.6, pp.1161-1167, 2017.
    W. Shan, X. Chen, Y. Lu, et al., “A novel combinatoricsbased reconfigurable bit permutation network and its circuit implementation”, Chinese Journal of Electronics, Vol.24, No.3, pp.513-517, 2015.
    L. Liu, J. Zhu, Z. Li, et al., “A survey of coarse-grained reconfigurable architecture and design: Taxonomy, challenges, and applications”, ACM Computing Surveys, Vol.52, No.6, Article No.118, 2019.
    R. Tessier, K. Pocek and A. Dehon, “Reconfigurable computing architectures”, Proceedings of the IEEE, Vol.103, No.3, pp.332-354, 2015.
    H. Kareemullah, N. Janakiraman and P. N. Kumar, “A survey on embedded reconfigurable architectures”, International Conference on Communication and Signal Processing, Chennai, pp.1500-1504, 2017.
    A. Dehon, “Fundamental underpinnings of reconfigurable computing architectures”, Proceedings of the IEEE, Vol.103, No.3, pp.355-378, 2015.
    M. Eckert, D. Meyer, J. Haase, et al., “Operating system concepts for reconfigurable computing: Review and survey”,International Journal of Reconfigurable Computing, pp.1-11, 2016.
    M. Gokhale and P.S. Graham, “Reconfigurable computing systems”, Proceedings of the IEEE, Vol.90, No.7, pp.1201-1217, 2007.
    K. Compton and S. Hauck, “Reconfigurable computing: A survey of systems and software”, ACM Computing Surveys, Vol.34, No.2, pp.171-210, 2002.
    T.J. Todman, G.A. Constantinides, S.J.E. Wilton, et al., “Reconfigurable computing: Architectures and design methods”, IEE Proceedings on Computers and Digital Techniques, Vol.152, No.2, pp.193-207, 2005.
    G. Estrin, “Organization of computer systems—The fixed plus variable structure computer”, Western Joint IRE-AIEEACM Computer Conference, San Francisco, California, USA, pp.33-40, 1960.
    A. Dehon, “Reconfigurable computing: What, why, and implications for design automation”, 36th Annual ACM/IEEE Design Automation, New Orleans, LA, USA, pp.610-615, 1999.
    P. Alfke, I. Bolsens, B. Carter, et al., “It's an FPGA!”, IEEE Solid-State Circuits Magazine, Vol.3, No.4, pp.15-20, 2011.
    X. Chen, A. Minwegen, Y. Hassan, et al., “FLEXDET: Flexible, efficient multi-mode MIMO detection using reconfigurable ASIP”, IEEE 20th Annual International Symposium on FieldProgrammable Custom Computing Machines, pp.69-76, 2012.
    H. Singh, M. Lee, G. Lu, et al., “MorphoSys: An integrated reconfigurable system for data-parallel computation-intensive applications”, IEEE Transacations on Compututer, Vol.49, No.5, pp.465-481, 2000.
    B. Mei, S. Vernalde, D. Verkest, et al., “ADRES: An architecture with tightly coupled VLIW processor and coarsegrained reconfigurable matrix”, International Conference on Field Programmable Logic and Application, Lisbon, Portugal, pp.61-73, 2003.
    R.W. Hartenstein, M. Herz, T. Hoffmann, et al., “Using the KressArray for reconfigurable computing”, Photonics East (ISAM, VVDC, IEMB), International Society for Optics and Photonics, pp.150-161, 1998.
    O. Atak and A. Atalar, “BilRC: An execution triggered coarse grained reconfigurable architecture”, IEEE Transactions on Very Large Scale Integration Systems, Vol.21, No.7, pp.1285-1298, 2013.
    V. Baumgarte, G. Ehlers, F. May, et al., “PACT XPP—A self-reconfigurable data processing architecture”, The Journal of Supercomputing, Vol.26, No.2, pp.167-184, 2003.
    V. Govindaraju, C. Ho, T. Nowatzki, et al., “DySER: Unifying functionality and parallelism specialization for energy-efficient computing”, IEEE Micro, Vol.32, No.5, pp.38-51, 2012.
    L. Liu, C. Deng, D. Wang, et al., “An energy-efficient coarse-grained dynamically reconfigurable fabric for multiplestandard video decoding applications”, IEEE Custom Integrated Circuits Conference, pp.1-4, 2013.
    M.C. Mcfarland, A.C. Parker and R. Camposano, “Tutorial on high-level synthesis”, ACM/IEEE Design Automation Conference, Anaheim, California, USA, pp.330-336, 1988.
    T. Nowatzki, V. Gangadhar, N. Ardalani, et al., “Streamdataflow acceleration”, ACM/IEEE International Symposium on Computer Architecture, Toronto, ON, Canada, pp.416-429, 2017.
    Z. Li, L. Liu, Y. Deng, et al., “FPGA-accelerated optimistic concurrency control for transactional memory”, The 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Columbus, OH, USA, pp.911-923, 2019.
    Z. Li, L. Liu, Y. Deng, et al., “Aggressive pipelining of irregular applications on reconfigurable hardware”, ACM/IEEE 44th Annual International Symposium on Computer Architecture, Toronto, ON, Canada, pp.24-28, 2017.
    C. Kim, S. Sethumadhavan, M.S. Govindan, et al., “Composable lightweight processors”, IEEE/ACM International Symposium on Microarchitecture, pp.381-394, 2007.
    J. Zhu, L. Liu, S. Yin, et al., “A hybrid reconfigurable architecture and design methods aiming at control-intensive kernels”, IEEE Transactions on Very Large Scale Integration Systems, Vol.23, No.9, pp.1700-1709, 2015.
    A. Parashar, M. Pellauer, M. Adler, et al., “Efficient spatial processing element control via triggered instructions”, IEEE Micro, Vol.34, No.3, pp.120-137, 2014.
    T.J. Repetti, J.P. Cerqueira and M.A. Kim, et al., “Pipelining a triggered processing element”, Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, pp.96-108, 2017.
    L. Liu, J. Wang, J. Zhu, et al., “TLIA: Efficient reconfigurable architecture for control-intensive kernels with triggered-longinstructions”, IEEE Transactions on Parallel and Distributed Systems, Vol.27, No.7, pp.2143-2154, 2016.
    Z. Zhao, W. Sheng, W. He, et al., “A static-placement, dynamic-issue framework for CGRA loop accelerator”, Design Automation and Test in Europe Conference and Exhibition, Lausanne, pp.1348-1353, 2017.
    Huang Y, P. Ienne and O. Temam, “Elastic CGRAs”, The ACM/SIGDA International Symposium on Field Programmable Gate Arrays, California, USA, pp.171-180, 2013.
    J. Pager, R. Jeyapaul and A. Shrivastava, “A software scheme for multithreading on CGRAs”, ACM Transactions on Embedded Computing Systems, Vol.14, No.1, pp.1-26, 2015.
    Y. Wang, L. Liu, S. Yin, et al., “On-chip memory hierarchy in one coarse-grained reconfigurable architecture to compress memory space and to reduce reconfiguration time and datareference time”, IEEE Transactions on Very Large Scale Integration Systems, Vol.22, No.5, pp.983-994, 2014.
    C. Yang, L. Liu, Y. Wang, et al., “Configuration approaches to enhance computing efficiency of coarse-grained reconfigurable array”, Journal of Circuits, Systems and Computers, Vol.24, No.3, pp.1550043, 2015.
    P. Cao, B. Liu, J. Yang, et al., “Context management scheme optimization of coarse-grained reconfigurable architecture for multimedia applications”, IEEE Transactions on Very Large Scale Integration Systems, Vol.25, No.8, pp.2321-2331, 2017.
    C. Yang, L. Liu, S. Yin, et al., “Efficient and flexible memory architecture to alleviate data and context bandwidth bottlenecks of coarse-grained reconfigurable arrays”, Science China Physics, Mechanics and Astronomy, Vol.57, No.12, pp.2214-2227, 2014.
    C. Ho, S.J. Kim and K. Sankaralingam, “Efficient execution of memory access phases using dataflow specialization”, ACM/IEEE 42nd Annual International Symposium on Computer Architecture, pp.118-130, 2015.
    C. Yang, L. Liu, S. Yin, et al., “Data cache prefetching via context directed pattern matching for coarse-grained reconfigurable arrays”, 53nd ACM/EDAC/IEEE Design Automation Conference, Austin, TX, pp.1-6, 2016.
    C. Yang, L. Liu, K. Luo, et al., “CIACP: A Correlationand Iteration-aware cache partitioning mechanism to improve performance of multiple coarse-grained reconfigurable arrays”,IEEE Transactions on Parallel and Distributed Systems, Vol.28, No.1, pp.29-43, 2017.
    M. Gao and C. Kozyrakis, “HRL: Efficient and flexible reconfigurable logic for near-data processing”, IEEE International Symposium on High Performance Computer Architecture, pp.126-137, 2016.
    M. Hamzeh, A. Shrivastava and S. Vrudhula S, “EPIMap: Using epimorphism to map applications on CGRAs”, Proceedings of Proceedings of the 49th Annual Design Automation Conference, pp.1284-1291, 2012.
    M. Hamzeh, A. Shrivastava and S. Vrudhula, “REGIMap: Register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs)”, Proceedings of the 50th Annual Design Automation Conference, Austin, TX, pp.1-10, 2013.
    M.A. Watkins, T. Nowatzki and A. Carno, “Software transparent dynamic binary translation for coarse-grain reconfigurable architectures”, IEEE International Symposium on High Performance Computer Architecture, pp.138-150, 2016.
    H. Park, Y. Park and S. Mahlke, “Polymorphic pipeline array: A flexible multicore accelerator with virtualized execution for mobile multimedia applications”, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, pp.370-380, 2009.
    X. Man, L. Liu, J. Zhu, et al., “A general patternbased dynamic compilation framework for coarse-grained reconfigurable architectures”, 56th ACM/ESDA/IEEE Design Automation Conference, Las Vegas, Nevada, USA, pp.1-6, 2019.
    P. Theochairs and B.D. Sutter, “A bimodal scheduler for coarse-grained reconfigurable arrays”, ACM Transactions on Architecture and Code Optimization, Vol.13, No.2, Article No.15, pp.1-26, 2016.
    L. Chen and T. Mitra, “Graph minor approach for application mapping on cgras”, ACM Transactions on Reconfigurable Technology and Systems, Vol.7, No.3, Article No.21, pp.1-25, 2014.
    D. Liu, S. Yin, L. Liu, et al., “Polyhedral model based mapping optimization of loop nests for CGRAs”, Proceeding of 50th ACM/EDAC/IEEE Design Automation Conference, pp.1-8, 2013.
    C. Farabet, B. Martini, B. Corda, et al., “NeuFlow: A runtime reconfigurable dataflow processor for vision”, Computer Vision and Pattern Recognition Workshops, pp.109-116, 2011.
    D. Fronte, A. Perez and E. Payrat, “Celator: A multialgorithm cryptographic co-processor”, International Conference on Reconfigurable Computing and FPGAs, pp.438-443, 2008.
    G. Sayilar and D. Chiou, “Cryptoraptor: High throughput reconfigurable cryptographic processor”, IEEE/ACM International Conference on Computer-Aided Design, pp.155-161, 2014.
    B. Wang, L. Liu, C. Deng, et al., “Against double fault attacks: Injection effort model, space and time randomization based countermeasures for reconfigurable array architecture”, IEEE Transactions on Information Forensics and Security, Vol.11, No.6, pp.1151-1164, 2016.
    B. Wang, L. Liu, C. Deng, et al., “Exploration of benes network in cryptographic processors: A random infection countermeasure for block ciphers against fault attacks”, IEEE Transactions on Information Forensics and Security, Vol.12, No.2, pp.309-322, 2017.
    L. Liu, B. Wang, C. Deng, et al., “Anole: A highly efficient dynamically reconfigurable crypto-processor for symmetrickey algorithms”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.37, No.12, pp.3081-3094, 2018.
    B. Mei, F. J. Veredas and B. Masschelein, “Mapping an H.264/AVC decoder onto the ADRES reconfigurable architecture”, International Conference on Field Programmable Logic and Applications, pp.622-625, 2005.
    M. Hartmann, V. Pantazis, T.V. Aa, et al., “Still image processing on coarse-grained reconfigurable array architectures”, Journal of Signal Processing Systems, Vol.60, No.2, pp.225-237, 2010.
    M.K.A. Ganesan, S. Singh, F. May, et al., “H.264 decoder at HD resolution on a coarse grain dynamically reconfigurable architecture”, In International Conference on Field Programmable Logic and Applications, pp.467-471, 2007.
    D. Novo, W. Moffat, V. Derudder, et al., “Mapping a multiple antenna SDM-OFDM receiver on the ADRES coarsegrained reconfigurable processor”, IEEE Workshop on Signal Processing Systems Design and Implementation, pp.473-478, 2005.
    M. Palkovic, H. Cappelle, M. Glassee, et al., “Mapping of 40 MHz MIMO SDM-OFDM baseband processing on multiprocessor SDR platform”, IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, pp.1-6, 2008.
    G. Peng, L. Liu, S. Zhou, et al., “A 2.92-Gb/s/W and 0.43-Gb/s/MG flexible and scalable CGRA-based baseband processor for massive MIMO detection”, IEEE Journal of Solid-State Circuits, Vol.55, No.2, pp.505-519, 2020.
  • 加载中

Catalog

    通讯作者: 陈斌, bchen63@163.com
    • 1. 

      沈阳化工大学材料科学与工程学院 沈阳 110142

    1. 本站搜索
    2. 百度学术搜索
    3. 万方数据库搜索
    4. CNKI搜索

    Article Metrics

    Article views (1071) PDF downloads(801) Cited by()
    Proportional views
    Related

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return