Citation: | Hengzhou YUAN, Bin LIANG, Hao SANG, et al., “A Single-Event-Transient Hardened Phase Locked Loop for Clock and Data Recovery,” Chinese Journal of Electronics, vol. 33, no. 2, pp. 353–361, 2024 doi: 10.23919/cje.2022.00.017 |
[1] |
Y. Krupnik, Y. Perelman, I. Levin, et al., “112 Gb/s PAM4 ADC based SERDES receiver for long-reach channels in 10 nm process,” in Proceedings of 2019 Symposium on VLSI Circuits, Kyoto, Japan, pp.C266–C267, 2019.
|
[2] |
J. Lee, P. C. Chiang, P. J. Peng, et al., “Design of 56 Gb/s NRZ and PAM4 SerDes transceivers in CMOS technologies,” IEEE Journal of Solid-State Circuits, vol. 50, no. 9, pp. 2061–2073, 2015. doi: 10.1109/JSSC.2015.2433269
|
[3] |
Y. Lee, Y. Choi, J. Choi, et al., “24-Gb/s input-data-independent clock and data recovery utilizing bit-efficient braid clock signaling with fixed embedded transition for 8K-UHD intrapanel interface,” IEEE Solid-State Circuits Letters, vol. 2, no. 3, pp. 21–24, 2019. doi: 10.1109/LSSC.2019.2918064
|
[4] |
C. L. Hsieh and S. I. Liu, “A 1–16-Gb/s wide-range clock/data recovery circuit with a bidirectional frequency detector,” IEEE Transactions on Circuits and Systems II:Express Briefs, vol. 58, no. 8, pp. 487–491, 2011. doi: 10.1109/TCSII.2011.2158719
|
[5] |
J. Savoj and B. Razavi, “A 10-Gb/s CMOS clock and data recovery circuit with a half-rate linear phase detector,” IEEE Journal of Solid-State Circuits, vol. 36, no. 5, pp. 761–768, 2001. doi: 10.1109/4.918913
|
[6] |
Y. Boulghassoul, L. W. Massengill, A. L. Sternberg, et al., “Effects of technology scaling on the SET sensitivity of RF CMOS Voltage-controlled oscillators,” IEEE Transactions on Nuclear Science, vol. 52, no. 6, pp. 2426–2432, 2005. doi: 10.1109/TNS.2005.860739
|
[7] |
Z. S. Yang, Y. Chen, S. H. Yang, et al., “16.8 A 25.4-to-29.5 GHz 10.2 mW isolated Sub-Sampling PLL achieving −252.9 dB jitter-power FoM and −63 dBc reference spur,” in Proceedings of 2019 IEEE International Solid- State Circuits Conference, San Francisco, CA, USA, pp.270–272, 2019.
|
[8] |
X. T. Zhao, Y. Chen, X. Q. Zheng, et al., “0.01-mm2 1.2-pJ/bit 6.4-to-8 Gb/s reference-less FD-less BBCDR using a deliberately-clock-selected strobe point based on a 2π/3-interval phase,” in Proceedings of 2021 IEEE MTT-S International Microwave Symposium, Atlanta, GA, USA, pp.386–389, 2021.
|
[9] |
Y. B. Huang, Y. Chen, H. L. Jiao, et al., “A 3.36-GHz locking-tuned type-I sampling PLL with -78.6-dBc reference spur merging single-path reference-feedthrough-suppression and narrow-pulse-shielding techniques,” IEEE Transactions on Circuits and Systems II:Express Briefs, vol. 68, no. 9, pp. 3093–3097, 2021. doi: 10.1109/TCSII.2021.3094934
|
[10] |
B. Razavi, “Clock/data recovery PLL using half-frequency clock,” in Phase-Locking in High-Performance Systems: From Devices to Architectures, B. Razavi, Ed. John Wiley & Sons, New York, NY, USA, pp.643–646, 2003.
|
[11] |
X. Q. Zheng, C. Zhang, F. X. Lv, et al., “A 40-Gb/s quarter-rate SerDes transmitter and receiver chipset in 65-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 52, no. 11, pp. 2963–2978, 2017. doi: 10.1109/JSSC.2017.2746672
|
[12] |
S. Yuan, L. J. Wu, Z. Q. Wang, et al., “A 70 mW 25 Gb/s quarter-rate SerDes transmitter and receiver chipset with 40 dB of equalization in 65 nm CMOS technology,” IEEE Transactions on Circuits and Systems I:Regular Papers, vol. 63, no. 7, pp. 939–949, 2016. doi: 10.1109/TCSI.2016.2555250
|
[13] |
Y. Jo, H. Kim, and S. Cho, “A 3.2-GHz supply noise-insensitive PLL using a gate-voltage-boosted source-follower regulator and residual noise cancellation,” IEEE Transactions on Very Large Scale Integration, no. VLSI, pp. 2170–2174, 2018. doi: 10.1109/TVLSI.2018.2845859
|
[14] |
T. D. Loveless, L. W. Massengill, B. L. Bhuva, et al., “A hardened-by-design technique for RF digital phase-locked loops,” IEEE Transactions on Nuclear Science, vol. 53, no. 6, pp. 3432–3438, 2006. doi: 10.1109/TNS.2006.886203
|
[15] |
H. Z. Yuan, J. J. Chen, B. Liang, et al., “A radiation hardened low-noise voltage-controlled-oscillator using negative feedback based multipath-current-releasing technology,” in Proceedings of the 12th International Conference on ASIC, Guiyang, China, pp.241–244, 2017.
|
[16] |
J. Prinzie, J. Christiansen, P. Moreira, et al., “A 2.56-GHz SEU radiation hard LC -tank VCO for high-speed communication links in 65-nm CMOS technology,” IEEE Transactions on Nuclear Science, vol. 65, no. 1, pp. 407–412, 2018. doi: 10.1109/TNS.2017.2764501
|
[17] |
Z. J. Chen, M. Lin, Y. L. Zheng, et al., “Single-event transient characterization of a radiation-tolerant charge-pump phase-locked loop fabricated in 130 nm PD-SOI technology,” IEEE Transactions on Nuclear Science, vol. 63, no. 4, pp. 2402–2408, 2016. doi: 10.1109/TNS.2016.2590420
|
[18] |
H. Z. Yuan, J. J. Chen, B. Liang, et al., “A radiation-immune low-jitter high-frequency PLL for SerDes,” in Proceedings of the 21st CCF National Conference on Computer Engineering and Technology, Xiamen, China, pp.45–51, 2017.
|
[19] |
H. Z. Yuan, Y. Guo, J. J. Chen, et al., “28nm fault-tolerant hardening-by-design frequency divider for reducing soft errors in clock and data recovery,” IEEE Access, vol. 7, pp. 47955–47961, 2019. doi: 10.1109/ACCESS.2019.2906884
|
[20] |
T. D. Loveless, L. W. Massengill, B. L. Bhuva, et al., “A probabilistic analysis technique applied to a radiation-hardened-by-design voltage-controlled oscillator for mixed-signal phase-locked loops,” IEEE Transactions on Nuclear Science, vol. 55, no. 6, pp. 3447–3455, 2008. doi: 10.1109/TNS.2008.2005677
|
[21] |
T. D. Loveless, L. W. Massengill, B. L. Bhuva, et al., “A single-event-hardened phase-locked loop fabricated in 130 nm CMOS,” IEEE Transactions on Nuclear Science, vol. 54, no. 6, pp. 2012–2020, 2007. doi: 10.1109/TNS.2007.908166
|
[22] |
D. Mcmorrow, S. Buchner, M. Baze, et al., “Laser-induced Latchup screening and mitigation in CMOS devices,” IEEE Transactions on Nuclear Science, vol. 53, no. 4, pp. 1819–1824, 2006. doi: 10.1109/TNS.2006.880929
|
[23] |
Y. P. Chen, T. D. Loveless, A. L. Sternberg, et al., “Persistent laser-induced leakage in a 20 nm charge-pump phase-locked loop (PLL),” IEEE Transactions on Nuclear Science, vol. 64, no. 1, pp. 512–518, 2017. doi: 10.1109/TNS.2016.2627940
|
[24] |
J. Prinzie, M. Steyaert, P. Leroux, et al., “A single-event upset robust, 2.2 GHz to 3.2 GHz, 345 fs jitter PLL with triple-modular redundant phase detector in 65 nm CMOS,” in Proceedings of 2016 IEEE Asian Solid-State Circuits Conference, Toyama, Japan, pp.285–288, 2016.
|
[25] |
D. M. Fischette, A. L. S. Loke, M. M. Oshima, et al., “A 45nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O,” in Proceedings of 2010 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, pp.246–247, 2010.
|
[26] |
M. Brownlee, P. K. Hanumolu, K. Mayaram, et al., “A 0.5 to 2.5 GHz PLL with fully differential supply-regulated tuning,” in Proceedings of 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers, San Francisco, CA, USA, pp.2412–2421, 2006.
|